Welcome![Sign In][Sign Up]
Location:
Search - ps2 keyboard vhdl

Search list

[Communicationps2_keyboard

Description: 用 vhdl 编写的ps2 keyboard 的接口程序-prepared using VHDL ps2 keyboard interface procedures
Platform: | Size: 7168 | Author: 王晓杰 | Hits:

[VHDL-FPGA-Verilogvhdl_vga_kb

Description: VHDL的显示驱动程序,VHDL的PS2键盘驱动程序-VHDL display drivers, VHDL PS2 Keyboard Driver
Platform: | Size: 3072 | Author: 张明凯 | Hits:

[VHDL-FPGA-Verilogps2_soc2

Description: PS2的源代码VHDL语言实现,可以和计算机直接连接.做鼠标键盘接口.-PS2 source VHDL, and can be connected directly to the computer. So the mouse, keyboard interface.
Platform: | Size: 21504 | Author: 喻袁洲 | Hits:

[VHDL-FPGA-Verilogps2_vhdl

Description: 利用vhdl实现FPGA芯片从PS2键盘读出数据(0-F) 并在数码管上显示 -use FPGA chip from the PS2 keyboard sensed data (0-F) and displayed on a digital control
Platform: | Size: 1024 | Author: 刘音 | Hits:

[VHDL-FPGA-VerilogPS2

Description: 使用XLINX的FPGA实现P/S2的键盘接口-The FPGA using XLINX realize P/S2 keyboard interface
Platform: | Size: 3072 | Author: toneytang | Hits:

[VHDL-FPGA-VerilogkeyboardScan

Description: PS2接口键盘扫描码截取电路,VHDL程序。该程序能够捕获PS2键盘按下的按键值,并将其扫描码转换成ASCII码。-PS2 keyboard scan code interception interface circuit, VHDL procedures. The program can capture PS2 keyboard press the keys values and scan codes into ASCII code.
Platform: | Size: 1024 | Author: 宁新 | Hits:

[VHDL-FPGA-Verilogps2_caculator_vhdl

Description: 基于spartan3火龙刀系列FPGA开发板制作的计算器,利PS2键盘做输入控制,有很好的借鉴价值-Fire Dragon spartan3 knife series based FPGA development board produced calculators, Lee PS2 keyboard input control to do, have a good reference value
Platform: | Size: 3072 | Author: 朱东亮 | Hits:

[VHDL-FPGA-Verilogok1

Description: 用vhdl语言编写的ps2键盘识别程序,并可输出到8*8矩阵显示-Using VHDL language ps2 keyboard identification procedures, and output to 8* 8 matrix display
Platform: | Size: 1024 | Author: sclzcq | Hits:

[VHDL-FPGA-VerilogPS2

Description: 基于EPM1270的PS2键盘鼠标驱动源码Verilog-Based on the EPM1270 the PS2 keyboard and mouse-driven Verilog source
Platform: | Size: 479232 | Author: cx | Hits:

[VHDL-FPGA-Verilogps2

Description: vhdl实现ps2接口的程序,可以接受键盘的输入或者鼠标的输入.-VHDL procedures to achieve ps2 interface can accept keyboard input or mouse input.
Platform: | Size: 1024 | Author: liaocongliang | Hits:

[VHDL-FPGA-VerilogFPGA-PS2-interface

Description: FPGA的PS2口接口程序,可识别PS2口键盘的输入-FPGA-PS2 port interface program to identify the mouth PS2 keyboard input
Platform: | Size: 665600 | Author: 冀少威 | Hits:

[Embeded-SCM DevelopPs2-ALL

Description: PS2键盘鼠标接口控制实现电子琴功能,verilog hdl 编写-PS2 keyboard and mouse interface to control the realization of organ function, verilog hdl prepared
Platform: | Size: 1058816 | Author: rutingfeng | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
Platform: | Size: 2048 | Author: qiumh | Hits:

[Booksps2

Description: FOGA ps2 键盘 实验的例子,非常的易懂,一看即会!-Examples of experiments FOGA ps2 keyboard, very easy to understand, a look that will be!
Platform: | Size: 106496 | Author: 李丽莎 | Hits:

[VHDL-FPGA-Verilogps2

Description: 采用sopc技术,nios2ide开发环境,实现nios对ps2键盘的控制,按键讲ascii码显示在led上-Using sopc technology, nios2ide development environment to achieve nios right ps2 keyboard control, key speakers led the ascii code is displayed in
Platform: | Size: 8153088 | Author: 蹇清平 | Hits:

[Embeded-SCM Developps2

Description: PS2键盘通信,VERILOG实现的,比较好的哦-PS2 keyboard communications, VERILOG realized, oh good
Platform: | Size: 601088 | Author: 洪依 | Hits:

[VHDL-FPGA-VerilogKbtestbench

Description: VHDL编写的Keyboard control使用ps2 keboard来使fgpa的led上显示键盘的二进制代码,用4个7seg来显示0-9的数字,该程序包含testbench.-ps2 keyboard controller which could enable led on fgpa to show the binary code of each key on ps2 keyboard and another four 7segment will display the number from 0 to 9 when you press those number on keyboard, besides it will display E on 7seg when you press others.
Platform: | Size: 2048 | Author: hongwan | Hits:

[Driver Developkeyboard_vhdl

Description: ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC SW : in std_logic_vector(4 downto 0) HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0) ) end klawa architecture Behavioral of klawa is component keyboard PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ) scan_ready : OUT STD_LOGIC ) END component -ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC SW : in std_logic_vector(4 downto 0) HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0) ) end klawa architecture Behavioral of klawa is component keyboard PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ) scan_ready : OUT STD_LOGIC ) END component
Platform: | Size: 637952 | Author: arekk | Hits:

[VHDL-FPGA-VerilogPS2-keyboard-controller-design

Description: PS2 keyboard controller design PS2 键盘控制设计-PS2 keyboard controller design
Platform: | Size: 871424 | Author: liu | Hits:

[VHDL-FPGA-VerilogPS2-keyboard

Description: fpga的ps2-键盘数码管显示程序,包括vhdl,顶层文件,工程文件-fpga of ps2-keyboard digital tube display program, including vhdl, top-level files, project files
Platform: | Size: 516096 | Author: zhouhengjun | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net